Fáilte go dtí ár láithreáin ghréasáin!

Táirgí

  • An gaol idir pláta éadach PCB agus EMC

    An gaol idir pláta éadach PCB agus EMC

    Treoir: Ag labhairt di ar an deacracht a bhaineann le soláthar cumhachta a athrú, níl an fhadhb pláta éadach PCB an-deacair, ach más mian leat bord PCB maith a bhunú, ní mór go mbeadh an soláthar cumhachta aistrithe ar cheann de na deacrachtaí (níl dearadh PCB maith, a d'fhéadfadh a bheith ina chúis le cuma conas a dhéanann tú an dífhabhtú a dhífhabhtú Tá na paraiméadair ag dífhabhtú an éadach Níl ​​sé seo scanrúil), toisc go bhfuil go leor fachtóirí ann a mheasann boird éadach PCB, mar shampla feidhmíocht leictreach, bealach próisis, ceanglais slándála, éifeacht EMC...
  • Tuigeann alt amháin |Cad é an bunús le roghnú an phróisis phróiseála dromchla sa mhonarcha PCB

    Tuigeann alt amháin |Cad é an bunús le roghnú an phróisis phróiseála dromchla sa mhonarcha PCB

    Is é an cuspóir is bunúsaí de chóireáil dromchla PCB ná weldability maith nó airíonna leictreacha a chinntiú.Toisc go mbíonn claonadh ag copar sa nádúr i bhfoirm ocsaídí san aer, ní dócha go gcoimeádtar é mar an copar bunaidh ar feadh i bhfad, agus mar sin ní mór é a chóireáil le copar.Tá go leor próiseas cóireála dromchla PCB ann.Is iad na hítimí coitianta gníomhairí cosanta táthaithe orgánacha cothrom (OSP), ór nicilphlátáilte lán-bhord, Shen Jin, Shenxi, Shenyin, nicil ceimiceach, ór, agus togh...
  • Foghlaim faoin gclog ar an PCB

    Foghlaim faoin gclog ar an PCB

    1. Leagan amach a, ba cheart an criostail clog agus na ciorcaid ghaolmhara a shocrú i suíomh lárnach an PCB agus go mbeadh foirmiú maith acu, seachas in aice leis an gcomhéadan I/O.Ní féidir an ciorcad giniúna cloig a dhéanamh i bhfoirm chárta iníon nó clár iníon, ní mór é a dhéanamh ar chlár clog nó ar chlár iompróra ar leith.Mar a thaispeántar san fhigiúr seo a leanas, tá an chuid bosca glas den chéad chiseal eile go maith gan an líne b a siúl, ní gá ach na feistí a bhaineann leis an gciorcad clog i gciorcad clog PCB a...
  • Coinnigh na pointí sreangú PCB seo i gcuimhne

    Coinnigh na pointí sreangú PCB seo i gcuimhne

    1. Cleachtas ginearálta I ndearadh PCB, ba cheart aird a thabhairt ar na gnéithe seo a leanas d'fhonn dearadh an bhoird chiorcaid ard-minicíochta a dhéanamh níos réasúnta, feidhmíocht frith-chur isteach níos fearr: (1) Roghnú réasúnta sraitheanna Nuair a bhíonn boird chiorcaid ard-minicíochta á ródú. i ndearadh PCB, úsáidtear an t-eitleán istigh sa lár mar an ciseal cumhachta agus talún, a fhéadfaidh ról sciath a imirt, an ionduchtacht seadánacha a laghdú go héifeachtach, fad na línte comhartha a ghiorrú, agus an trasnú a laghdú ...
  • An dtuigeann tú an dá riail a bhaineann le dearadh lannaithe PCB?

    An dtuigeann tú an dá riail a bhaineann le dearadh lannaithe PCB?

    1. Caithfidh ciseal tagartha in aice láimhe (soláthar cumhachta nó foirmiú) a bheith ag gach ciseal ródaithe;2. Ba chóir an príomhchiseal cumhachta in aice láimhe agus an talamh a choinneáil ar achar íosta chun toilleas cúplála mór a sholáthar;Seo a leanas sampla de chruach dhá chiseal go hocht-ciseal: Bord PCB aon-thaobh agus bord PCB dhá thaobh lannaithe Ar feadh dhá shraith, toisc go bhfuil líon na sraitheanna beag, níl aon fhadhb lamination ann.Déantar rialú radaíochta EMI a mheas go príomha ón sreangú agus...
  • Eolas fuar

    Eolas fuar

    Cad é dath an bhoird PCB, mar a thugann an t-ainm le tuiscint, nuair a bhíonn bord PCB á fháil agat, an ceann is iomasach chun dath an ola a fheiceáil ar an mbord, is é sin, tagraíonn muid go ginearálta le dath an bhoird PCB, dathanna coitianta atá glas, gorm, dearg agus dubh agus mar sin de.Roinneann na Xiaobian seo a leanas a dtuiscint ar dathanna éagsúla.1, is é dúch glas an ceann is mó a úsáidtear go forleathan, an ócáid ​​​​stairiúil is faide, agus sa mhargadh reatha freisin an ceann is saoire, agus mar sin tá glas in úsáid ag líon mór déantúsóirí...
  • Maidir le feistí DIP, ní chaitheann daoine PCB cuid acu poll tapa!

    Maidir le feistí DIP, ní chaitheann daoine PCB cuid acu poll tapa!

    Is breiseán é DIP.Tá dhá shraith bioráin ag sliseanna pacáistithe ar an mbealach seo, ar féidir iad a tháthú go díreach chuig soicéid sliseanna le struchtúr DIP nó a tháthú chuig suíomhanna táthú leis an líon céanna poill.Tá sé an-áisiúil táthú bréifnithe boird PCB a bhaint amach, agus tá comhoiriúnacht mhaith aige leis an máthairchlár, ach mar gheall ar a limistéar pacáistithe agus tiús atá sách mór, agus tá an bioráin sa phróiseas a chur isteach agus a bhaint éasca le damáiste, droch-iontaofacht.Is é DIP an breiseán is mó tóir ...
  • 1oz Tiús Copar Monaróir Boird PCBA Trealamh leighis HDI Ciorcad Ilchiseal PCBA PCBA

    1oz Tiús Copar Monaróir Boird PCBA Trealamh leighis HDI Ciorcad Ilchiseal PCBA PCBA

    Sonraíochtaí Buntábhachtacha/ Gnéithe Speisialta:
    Tiús Copar 1oz Monaróir Boird PCBA Trealamh leighis HDI PCBA Multilayer Circuit PCBA.

  • Inverter stórála fuinnimh PCBA Tionól boird chiorcaid phriontáilte le haghaidh inverters stórála fuinnimh

    Inverter stórála fuinnimh PCBA Tionól boird chiorcaid phriontáilte le haghaidh inverters stórála fuinnimh

    1. Muirearú tapa Super: cumarsáid chomhtháite agus claochlú DC dhá bhealach

    2. Ard-éifeachtúlacht: Glacadh le dearadh teicneolaíochta chun cinn, caillteanas íseal, téamh íseal, cumhacht ceallraí a shábháil, am urscaoilte a leathnú

    3. Toirt beag: dlús ardchumhachta, spás beag, meáchan íseal, neart struchtúrach láidir, oiriúnach d'iarratais iniompartha agus soghluaiste

    4. Inoiriúnaitheacht ualaigh maith: aschur 100/110/120V nó 220/230/240V, sine wave 50/60Hz, cumas ró-ualach láidir, oiriúnach le haghaidh feistí TF éagsúla, uirlisí leictreacha, fearais tí, ná roghnaigh an t-ualach

    5. Raon minicíochta voltas ionchuir ultra-leathan: Voltas ionchuir thar a bheith leathan 85-300VAC (córas 220V) nó córas 70-150VAC 110V) agus raon ionchuir minicíochta 40 ~ 70Hz, gan eagla ar an timpeallacht chumhachta crua

    6. Ag baint úsáide as teicneolaíocht rialaithe digiteach DSP: Glacadh le teicneolaíocht rialaithe digiteach DSP chun cinn, cosaint ilfhoirfe, cobhsaí agus iontaofa

    7. Dearadh táirge iontaofa: gach bord snáithín gloine dhá thaobh, in éineacht le comhpháirteanna réise mór, láidir, friotaíocht creimeadh, feabhas mór ar inoiriúnaitheacht an chomhshaoil

  • FPGA Intel Arria-10 GX sraith MP5652-A10

    FPGA Intel Arria-10 GX sraith MP5652-A10

    I measc na bpríomhghnéithe den tsraith Arria-10 GX tá:

    1. Loighic ard-dlúis agus ardfheidhmíochta agus acmhainní DSP: Tairgeann na FPGAanna Arria-10 GX líon mór d'eilimintí loighic (LEanna) agus bloic próiseála comhartha digiteacha (DSP).Ligeann sé seo do chur i bhfeidhm halgartaim chasta agus dearaí ardfheidhmíochta.
    2. Transceivers ardluais: Áirítear ar an tsraith Arria-10 GX transceivers ardluais a thacaíonn le prótacail éagsúla cosúil le PCI Express (PCIe), Ethernet, agus Interlaken.Is féidir leis na transceivers seo oibriú ag rátaí sonraí suas le 28 Gbps, rud a chumasaíonn cumarsáid sonraí ardluais.
    3. Comhéadain cuimhne ardluais: Tacaíonn na FPGAanna Arria-10 GX le comhéadain chuimhne éagsúla, lena n-áirítear DDR4, DDR3, QDR IV, agus RLDRAM 3. Soláthraíonn na comhéadain seo rochtain ard-bandaleithead ar fheistí cuimhne seachtracha.
    4. Próiseálaí comhtháite ARM Cortex-A9: Áirítear ar roinnt ball den tsraith Arria-10 GX próiseálaí comhtháite dé-lárnach ARM Cortex-A9, a sholáthraíonn fochóras próiseála cumhachtach d'iarratais leabaithe.
    5. Gnéithe comhtháthú córais: Áirítear leis na FPGAanna Arria-10 GX forimeallaigh agus comhéadain éagsúla ar-sliseanna, mar shampla GPIO, I2C, SPI, UART, agus JTAG, chun comhtháthú córais agus cumarsáid le comhpháirteanna eile a éascú.
  • FPGA Xilinx K7 Kintex7 PCIe cumarsáide snáithíní optúla

    FPGA Xilinx K7 Kintex7 PCIe cumarsáide snáithíní optúla

    Seo forbhreathnú ginearálta ar na céimeanna atá i gceist:

    1. Roghnaigh modúl optúla transceiver cuí: Ag brath ar riachtanais shonracha do chórais cumarsáide optúla, bheadh ​​ort modúl optúla transceiver a roghnú a thacaíonn leis an tonnfhad atá ag teastáil, an ráta sonraí, agus tréithe eile.I measc na roghanna coitianta tá modúil a thacaíonn le Gigabit Ethernet (m.sh., modúil SFP/SFP+) nó caighdeáin cumarsáide optúla ar luas níos airde (eg modúil QSFP/QSFP+).
    2. Ceangail an transceiver optúil leis an FPGA: Is gnách go gcomhéadann an FPGA leis an modúl optúil transceiver trí naisc sraitheacha ardluais.Is féidir trasghlacadóirí comhtháite an FPGA nó bioráin I/O tiomnaithe atá deartha do chumarsáid sraitheach ardluais a úsáid chun na críche sin.Ní mór duit bileog sonraí an mhodúil trasghlacadóra agus treoirlínte dearaidh tagartha a leanúint chun é a nascadh i gceart leis an FPGA.
    3. Na prótacail riachtanacha agus próiseáil comhartha a chur i bhfeidhm: Nuair a bheidh an nasc fisiceach bunaithe, bheadh ​​ort na prótacail riachtanacha agus na halgartaim próiseála comhartha a fhorbairt nó a chumrú le haghaidh tarchur sonraí agus fáiltithe.D’fhéadfadh go n-áireofaí leis seo an prótacal PCIe is gá chun cumarsáid a dhéanamh leis an gcóras óstaigh a chur i bhfeidhm, chomh maith le haon halgartaim próiseála comhartha breise a theastaíonn le haghaidh ionchódú/díchódaithe, modhnú/dímhodúcháin, ceartú earráide, nó feidhmeanna eile a bhaineann go sonrach le d’fheidhmchlár.
    4. Comhtháthú le comhéadan PCIe: Tá rialtóir PCIe ionsuite ag an Xilinx K7 Kintex7 FPGA a ligeann dó cumarsáid a dhéanamh leis an gcóras óstach ag baint úsáide as an mbus PCIe.Bheadh ​​ort an comhéadan PCIe a chumrú agus a oiriúnú chun freastal ar riachtanais shonracha do chórais cumarsáide optúla.
    5. An chumarsáid a thástáil agus a fhíorú: Nuair a bheidh sé curtha i bhfeidhm, bheadh ​​ort feidhmiúlacht cumarsáide snáithíní optúla a thástáil agus a fhíorú ag baint úsáide as trealamh tástála agus modheolaíochtaí cuí.D’fhéadfadh go n-áireofaí leis seo an ráta sonraí, an ráta earráide giotán, agus feidhmíocht fhoriomlán an chórais a fhíorú.
  • FPGA XILINX-K7 KINTEX7 XC7K325 410T Grád tionsclaíoch

    FPGA XILINX-K7 KINTEX7 XC7K325 410T Grád tionsclaíoch

    Múnla iomlán: FPGA XILINX-K7 KINTEX7 XC7K325 410T

    1. Sraith: Kintex-7: Tá FPGAanna sraith Kintex-7 Xilinx deartha le haghaidh feidhmchláir ardfheidhmíochta agus tairgeann siad cothromaíocht mhaith idir feidhmíocht, cumhacht agus praghas.
    2. Gléas: XC7K325: Tagraíonn sé seo don fheiste ar leith laistigh den tsraith Kintex-7.Tá an XC7K325 ar cheann de na leaganacha atá ar fáil sa tsraith seo, agus tairgeann sé sonraíochtaí áirithe, lena n-áirítear cumas cille loighciúil, slisní DSP, agus comhaireamh I/O.
    3. Cumas Loighic: Tá cumas cille loighic 325,000 ag an XC7K325.Is bloic thógála ríomhchláraithe iad cealla loighic i FPGA ar féidir iad a chumrú chun ciorcaid agus feidhmeanna digiteacha a chur i bhfeidhm.
    4. Sliseanna DSP: Is acmhainní crua-earraí tiomnaithe iad slisní DSP laistigh de FPGA atá optamaithe le haghaidh tascanna próiseála comhartha digiteacha.Féadfaidh líon beacht na slisní DSP sa XC7K325 a bheith éagsúil ag brath ar an malairt sonrach.
    5. Comhaireamh I/O: Léiríonn an “410T” san uimhir mhúnla go bhfuil 410 bioráin I/O úsáideora san iomlán ag an XC7K325.Is féidir na bioráin seo a úsáid chun comhéadan a dhéanamh le feistí seachtracha nó le ciorcadóireacht dhigiteach eile.
    6. Gnéithe Eile: D'fhéadfadh gnéithe eile a bheith ag an XC7K325 FPGA, mar shampla bloic cuimhne comhtháite (BRAM), transceivers ardluais le haghaidh cumarsáide sonraí, agus roghanna cumraíochta éagsúla.
123456Ar Aghaidh >>> Leathanach 1/6